Samsung Tizen OS Expands Its Global Presence with New Licensing Partnerships

New Smart TVs powered by Tizen from the first licensing partners will be available in 2022 | Continue reading


@news.samsung.com | 1 year ago

Samsung targets mass production of 2nm process technology by 2025, 1.4nm by 2027

• Samsung targets mass production of 2nm process technology by 2025 and 1.4nm by 2027 • Samsung plans to expand its production capacity for the advanced nodes by more than 3X by 2027 • Non-mobile applications including HPC and automotive expected to exceed 50% of its foundry port … | Continue reading


@news.samsung.com | 1 year ago

Samsung adds 'repair mode' to phones, blocking PII leak when phone is repaired

삼성전자가 갤럭시 스마트폰 사용자의 개인정보 유출 사고를 막을 수 있는 ‘수리 모드’ 서비스를 정식 공개했다. ‘수리 모드’는 스마트폰을 수리할 때 선택적으로 데이터를 공개할 수 있게 해, 일부 사설업체 등을 통한 수리 과정에서 발생할 수 | Continue reading


@news.samsung.com | 1 year ago

Samsung Electronics and Red Hat Announce Collaboration in Memory Software

Far-ranging collaboration in next-generation memory software technology aimed at gaining full support throughout the Red Hat Enterprise Linux operating system Development and verification of software solutions at new 'Samsung Memory Research Cloud' to aid in configuring optimal … | Continue reading


@news.samsung.com | 1 year ago

Samsung Expands Customer-First Care Experience with New Self-Repair Program

In delivering a customer-first care experience nationwide, Samsung is continually offering more convenient and accessible options for consumers to prolong | Continue reading


@news.samsung.com | 2 years ago

Samsung announces M8 smart monitor series

Featuring a SlimFit Cam, the new Smart Monitor M8 is available in four modern colors | Continue reading


@news.samsung.com | 2 years ago

Why Socks Go Missing in the Wash and How Likely It Is to Happen

  Leading scientists have unravelled the cause of one of life’s greatest mysteries by discovering the secrets of why our socks go missing in the wash. | Continue reading


@news.samsung.com | 2 years ago

Samsung Sets the New Standard with Four Generations of OS Upgrades

Select Galaxy users will now enjoy the latest mobile experience for longer with four generations of One UI and Android OS upgrades | Continue reading


@news.samsung.com | 2 years ago

Samsung Repurposes Discarded Fishing Nets for New Galaxy Devices

In a move that combines sustainability and innovation, Samsung Electronics developed a new material that gives ocean-bound plastics new life as they’re | Continue reading


@news.samsung.com | 2 years ago

Samsung develops industry's first LPDDR5X DRAM

Samsung’s LPDDR5X DRAM will provide over 1.3x faster processing speeds and consume nearly 20% less power than the previous LPDDR5 solution The LPDDR5X solution will broaden the use of high-performance, low-power memory beyond smartphones to AI and edge applications | Continue reading


@news.samsung.com | 2 years ago

Samsung Outlines 3nm Plans

Details were disclosed at the company’s 5th Annual Samsung Foundry Forum | Continue reading


@news.samsung.com | 2 years ago

Samsung Puts Forward a Vision to Copy and Paste the Brain on Neuromorphic Chips

With Harvard Researchers, Samsung introduces a new approach to reverse engineer the brain on a memory chip, in a Perspective paper published in Nature Electronics | Continue reading


@news.samsung.com | 2 years ago

Samsung remotely disables TVs looted from South African warehouse

JOHANNESBURG, South Africa – 06 August 2021 – Samsung South Africa has announced the implementation of a Television Block Function on all Samsung TV sets. The | Continue reading


@news.samsung.com | 2 years ago

Samsung Introduces Industry’s First 5nm Processor for Next Generation Wearables

The Exynos W920 offers high performance, efficiency and LTE connectivity packed in the industry’s smallest form factor | Continue reading


@news.samsung.com | 2 years ago

Samsung Makes It Easier to Use Blockchain on Galaxy Devices

Galaxy users can now import and manage all their assets for cryptocurrencies and DApps in one, easy-to-use and secure location | Continue reading


@news.samsung.com | 2 years ago

Samsung Unveils Memory Module Incorporating CXL Interconnect

DDR5 DRAM-based memory module is designed to meet the high-performance demands of data-intensive applications including AI and HPC CXL interface enables memory capacity to scale to the terabyte level and substantially reduces system latency | Continue reading


@news.samsung.com | 2 years ago

Samsung to deliver flagship devices with drones

Partnership marks first of its kind drone delivery service for Samsung Globally | Continue reading


@news.samsung.com | 3 years ago

Samsung to Launch Drone Delivery Galaxy Poducts to Irish Customers

Partnership marks first of its kind drone delivery service for Samsung Globally | Continue reading


@news.samsung.com | 3 years ago

Samsung Breaks 5G Speed Record, Reaching 5.23Gbps – Samsung Global Newsroom

Trial successfully combines 4G LTE and 5G mmWave on a commercial 5G device | Continue reading


@news.samsung.com | 3 years ago

Samsung Takes Galaxy Security to the Next Level by Extending Updates

Galaxy products launched since 2019, including the Z, S, Note, A, XCover and Tab series, will now receive at least four years of security updates Samsung | Continue reading


@news.samsung.com | 3 years ago

Samsung MicroLED Announcement

The next generation of television display technology is ready for the home out of the box with the unveil of Samsung’s 110’’ MicroLED in Korea | Continue reading


@news.samsung.com | 3 years ago

Samsung Begins Mass Production of 16Gb LPDDR5 DRAM

Samsung starts shipping industry's first third-gen 10nm-class (1z) EUV-based 16Gb LPDDR5 DRAM Following DRAM, new Pyeongtaek Line 2 to produce next-gen V-NAND and foundry solutions | Continue reading


@news.samsung.com | 3 years ago

Samsung Committing to Three Generations of Android OS Upgrades

Samsung will now support select Galaxy devices for 3 generations of Android OS upgrades | Continue reading


@news.samsung.com | 3 years ago

Samsung Leads Semiconductor Paradigm Shift with New Material Discovery

Researchers at the Samsung Advanced Institute of Technology (SAIT) have unveiled the discovery of a new material, called amorphous boron nitride (a-BN), in | Continue reading


@news.samsung.com | 3 years ago

Samsung Develops Tactical Edition of S20 Phone for DoD

Mission-ready smartphone solution provides operators with the power to achieve their objectives in any tactical environment. Samsung Electronics America, | Continue reading


@news.samsung.com | 3 years ago

Samsung gets approval for wrist based blood pressure monitoring in South Korea

The Samsung Health Monitor app receives clearance from South Korea’s Ministry of Food and Drug Safety (MFDS); Enables users to easily and more conveniently monitor and track their blood pressure | Continue reading


@news.samsung.com | 3 years ago

Rivalling the Human Eye: Samsung Is Opening Possibilities for Sensor Technology

  Taking pictures or videos throughout the day has become part of our normal lifestyles and no longer done just to capture special events. Whip out your | Continue reading


@news.samsung.com | 3 years ago

Samsung Presents Groundbreaking Solid-State Battery Technology

On March 9 in London, researchers from the Samsung Advanced Institute of Technology (SAIT) and the Samsung R&D Institute Japan (SRJ) presented a study on | Continue reading


@news.samsung.com | 4 years ago

Samsung Introduces Best-in-Class Data Security Chip Solution for Mobile Devices

Samsung’s new Secure Element solution features secure key storage with CC EAL 5+ certification and dedicated security software for enhanced data protection | Continue reading


@news.samsung.com | 4 years ago

Samsung Galaxy S20

The Samsung Galaxy S20 series is Samsung’s first, full 5G flagship lineup, featuring unprecedented 5G and AI camera technologies, built for the future of communications | Continue reading


@news.samsung.com | 4 years ago

Samsung: Statement on Fingerprint Recognition Issue

Regarding recent reports of a fingerprint recognition issue affecting certain Galaxy devices, we would like to inform our customers of the following | Continue reading


@news.samsung.com | 4 years ago

Samsung 108Mp smartphone image sensor

Samsung’s partnership with Xiaomi brings innovation to mobile cameras with new ISOCELL Bright HMX | Continue reading


@news.samsung.com | 4 years ago

Samsung: Galaxy Fold coming in September. No really.

At Samsung, we’ve always focused on pioneering the next generation of mobile innovation: new products and technologies that push the entire industry forwar | Continue reading


@news.samsung.com | 4 years ago

Samsung Introduces a High-Speed, Low-Power NPU Solution for AI Deep Learning

Deep learning algorithms are a core element of artificial intelligence (AI) as they are the processes by which a computer is able to think and learn like a | Continue reading


@news.samsung.com | 4 years ago

AMD and Samsung Announce Strategic Partnership

Samsung to integrate custom AMD Radeon graphics IP into future SoCs for mobile applications | Continue reading


@news.samsung.com | 4 years ago

Samsung to Postpone the Launch of Galaxy Fold After Review Unit Displays Fail

We recently unveiled a completely new mobile category: a smartphone using multiple new technologies and materials to create a display that is flexible enough | Continue reading


@news.samsung.com | 4 years ago

5nm: A Catalyst of the Fourth Industrial Revolution

This week, Samsung Electronics announced that its 5-nanometer(nm) FinFET process technology based on EUV lithography  is now ready for production. This is a | Continue reading


@news.samsung.com | 5 years ago

Samsung Successfully Completes 5nm EUV Development

Samsung Electronics makes major strides in EUV-based advanced nodes, including 7nm mass production and 6nm customer tape-out | Continue reading


@news.samsung.com | 5 years ago

Watch the Galaxy Fold folding test – Mesmerizing

Samsung Global Newsroom | Continue reading


@news.samsung.com | 5 years ago

Samsung Electronics to Replace Plastic Packaging with Sustainable Materials

Samsung Global Newsroom | Continue reading


@news.samsung.com | 5 years ago

Samsung Sets New Performance Levels for Consumer NVMe SSDs with 970 EVO Plus

Samsung Global Newsroom | Continue reading


@news.samsung.com | 5 years ago

Samsung and Apple, Sitting in a Tree, K–I–S–S–I–N–G

SEOUL, Korea – January 6, 2019 – Samsung Electronics Co., Ltd.announced today it will offer iTunes Movies and TV Shows and Apple AirPlay 2 support on 2019 | Continue reading


@news.samsung.com | 5 years ago

Samsung Unveils Modular Micro LED Technology at CES

LAS VEGAS, NV – January 6, 2019 – Samsung Electronics Co., Ltd. today introduced its latest innovations in modular MicroLED display technology during its a | Continue reading


@news.samsung.com | 5 years ago

Samsung’s Exynos Auto V9 to Power Audi’s In-Vehicle Infotainment System

Samsung Global Newsroom | Continue reading


@news.samsung.com | 5 years ago

Samsung Multi-Terabyte Storage Capacities at Accessible Price with 860 QVO SSD

Samsung Newsroom | Continue reading


@news.samsung.com | 5 years ago

Samsung Reveals Infinity Flex Display [Foldable Screen]

Samsung unveiled powerful new developer tools for Bixby and SmartThings platforms, as well as mobile experiences with One UI and Infinity Flex Display. | Continue reading


@news.samsung.com | 5 years ago

Samsung Electronics Starts Production of EUV-Based 7nm LPP Process

Samsung’s new 7LPP allows up to 40% increase in area efficiency with 20% higher performance or 50% lower power consumption, resulting in better yields with significantly fewer layers | Continue reading


@news.samsung.com | 5 years ago

Samsung Display’s ‘Unbreakable Panel’ Certified by Underwriters Laboratories

Seoul, Korea ― July 26, 2018 ― Samsung Display announced earlier today that its recently-developed, unbreakable smartphone panel has just been certified by | Continue reading


@news.samsung.com | 5 years ago